O HAI THIS BLOG PURPZIEZ 2 B UZED AZ MAH PLESIOUS MEM. :)

2006/06/30

My diff stat 6/30~.

否,もはや何も言うまいて. :P
他にも色々あったけど,まだちゃんと動いてないのでもう少し頑張る.
[Well, I have no saying. :P
Hmm, it's a bit of buggy still now, I have to fix it, though.]

a/stim/dat/BPSK_1of2 | 80 -----
b/stim/dat/16QAM_1of2.iCLK | 80 +++++
b/stim/dat/16QAM_1of2.iDIN0 | 80 +++++
b/stim/dat/16QAM_1of2.iDIN1 | 80 +++++
b/stim/dat/16QAM_1of2.iDIN2 | 80 +++++
b/stim/dat/16QAM_1of2.iDIN3 | 80 +++++
b/stim/dat/16QAM_1of2.iDIN4 | 80 +++++
b/stim/dat/16QAM_1of2.iDIN5 | 80 +++++
b/stim/dat/16QAM_1of2.iMODE | 80 +++++
b/stim/dat/16QAM_1of2.iRDEN | 80 +++++
b/stim/dat/16QAM_1of2.iRDSTART | 80 +++++
b/stim/dat/16QAM_1of2.iWDEN | 80 +++++
b/stim/dat/16QAM_1of2.iWDSTART | 80 +++++
b/stim/dat/16QAM_1of2.ixRST | 80 +++++
b/stim/dat/16QAM_1of2.txt | 160 +++++++++++
b/stim/dat/16QAM_1of2.txt.in | 80 +++++
b/stim/dat/16QAM_3of4.iCLK | 80 +++++
b/stim/dat/16QAM_3of4.iDIN0 | 80 +++++
b/stim/dat/16QAM_3of4.iDIN1 | 80 +++++
b/stim/dat/16QAM_3of4.iDIN2 | 80 +++++
b/stim/dat/16QAM_3of4.iDIN3 | 80 +++++
b/stim/dat/16QAM_3of4.iDIN4 | 80 +++++
b/stim/dat/16QAM_3of4.iDIN5 | 80 +++++
b/stim/dat/16QAM_3of4.iMODE | 80 +++++
b/stim/dat/16QAM_3of4.iRDEN | 80 +++++
b/stim/dat/16QAM_3of4.iRDSTART | 80 +++++
b/stim/dat/16QAM_3of4.iWDEN | 80 +++++
b/stim/dat/16QAM_3of4.iWDSTART | 80 +++++
b/stim/dat/16QAM_3of4.ixRST | 80 +++++
b/stim/dat/16QAM_3of4.txt | 160 +++++++++++
b/stim/dat/16QAM_3of4.txt.in | 80 +++++
b/stim/dat/64QAM_2of3.iCLK | 80 +++++
b/stim/dat/64QAM_2of3.iDIN0 | 80 +++++
b/stim/dat/64QAM_2of3.iDIN1 | 80 +++++
b/stim/dat/64QAM_2of3.iDIN2 | 80 +++++
b/stim/dat/64QAM_2of3.iDIN3 | 80 +++++
b/stim/dat/64QAM_2of3.iDIN4 | 80 +++++
b/stim/dat/64QAM_2of3.iDIN5 | 80 +++++
b/stim/dat/64QAM_2of3.iMODE | 80 +++++
b/stim/dat/64QAM_2of3.iRDEN | 80 +++++
b/stim/dat/64QAM_2of3.iRDSTART | 80 +++++
b/stim/dat/64QAM_2of3.iWDEN | 80 +++++
b/stim/dat/64QAM_2of3.iWDSTART | 80 +++++
b/stim/dat/64QAM_2of3.ixRST | 80 +++++
b/stim/dat/64QAM_2of3.txt | 160 +++++++++++
b/stim/dat/64QAM_2of3.txt.in | 80 +++++
b/stim/dat/64QAM_3of4.iCLK | 80 +++++
b/stim/dat/64QAM_3of4.iDIN0 | 80 +++++
b/stim/dat/64QAM_3of4.iDIN1 | 80 +++++
b/stim/dat/64QAM_3of4.iDIN2 | 80 +++++
b/stim/dat/64QAM_3of4.iDIN3 | 80 +++++
b/stim/dat/64QAM_3of4.iDIN4 | 80 +++++
b/stim/dat/64QAM_3of4.iDIN5 | 80 +++++
b/stim/dat/64QAM_3of4.iMODE | 80 +++++
b/stim/dat/64QAM_3of4.iRDEN | 80 +++++
b/stim/dat/64QAM_3of4.iRDSTART | 80 +++++
b/stim/dat/64QAM_3of4.iWDEN | 80 +++++
b/stim/dat/64QAM_3of4.iWDSTART | 80 +++++
b/stim/dat/64QAM_3of4.ixRST | 80 +++++
b/stim/dat/64QAM_3of4.txt | 160 +++++++++++
b/stim/dat/64QAM_3of4.txt.in | 80 +++++
b/stim/dat/BPSK_1of2.txt | 160 +++++++++++
b/stim/dat/BPSK_1of2.txt.in | 80 +++++
b/stim/dat/BPSK_3of4.iCLK | 80 +++++
b/stim/dat/BPSK_3of4.iDIN0 | 80 +++++
b/stim/dat/BPSK_3of4.iDIN1 | 80 +++++
b/stim/dat/BPSK_3of4.iDIN2 | 80 +++++
b/stim/dat/BPSK_3of4.iDIN3 | 80 +++++
b/stim/dat/BPSK_3of4.iDIN4 | 80 +++++
b/stim/dat/BPSK_3of4.iDIN5 | 80 +++++
b/stim/dat/BPSK_3of4.iMODE | 80 +++++
b/stim/dat/BPSK_3of4.iRDEN | 80 +++++
b/stim/dat/BPSK_3of4.iRDSTART | 80 +++++
b/stim/dat/BPSK_3of4.iWDEN | 80 +++++
b/stim/dat/BPSK_3of4.iWDSTART | 80 +++++
b/stim/dat/BPSK_3of4.ixRST | 80 +++++
b/stim/dat/BPSK_3of4.txt | 160 +++++++++++
b/stim/dat/BPSK_3of4.txt.in | 80 +++++
b/stim/dat/QPSK_1of2.iCLK | 80 +++++
b/stim/dat/QPSK_1of2.iDIN0 | 80 +++++
b/stim/dat/QPSK_1of2.iDIN1 | 80 +++++
b/stim/dat/QPSK_1of2.iDIN2 | 80 +++++
b/stim/dat/QPSK_1of2.iDIN3 | 80 +++++
b/stim/dat/QPSK_1of2.iDIN4 | 80 +++++
b/stim/dat/QPSK_1of2.iDIN5 | 80 +++++
b/stim/dat/QPSK_1of2.iMODE | 80 +++++
b/stim/dat/QPSK_1of2.iRDEN | 80 +++++
b/stim/dat/QPSK_1of2.iRDSTART | 80 +++++
b/stim/dat/QPSK_1of2.iWDEN | 80 +++++
b/stim/dat/QPSK_1of2.iWDSTART | 80 +++++
b/stim/dat/QPSK_1of2.ixRST | 80 +++++
b/stim/dat/QPSK_1of2.txt | 160 +++++++++++
b/stim/dat/QPSK_1of2.txt.in | 80 +++++
b/stim/dat/QPSK_3of4.iCLK | 80 +++++
b/stim/dat/QPSK_3of4.iDIN0 | 80 +++++
b/stim/dat/QPSK_3of4.iDIN1 | 80 +++++
b/stim/dat/QPSK_3of4.iDIN2 | 80 +++++
b/stim/dat/QPSK_3of4.iDIN3 | 80 +++++
b/stim/dat/QPSK_3of4.iDIN4 | 80 +++++
b/stim/dat/QPSK_3of4.iDIN5 | 80 +++++
b/stim/dat/QPSK_3of4.iMODE | 80 +++++
b/stim/dat/QPSK_3of4.iRDEN | 80 +++++
b/stim/dat/QPSK_3of4.iRDSTART | 80 +++++
b/stim/dat/QPSK_3of4.iWDEN | 80 +++++
b/stim/dat/QPSK_3of4.iWDSTART | 80 +++++
b/stim/dat/QPSK_3of4.ixRST | 80 +++++
b/stim/dat/QPSK_3of4.txt | 160 +++++++++++
b/stim/dat/QPSK_3of4.txt.in | 80 +++++
stim/Makefile.gcc | 14 -
stim/include/dpnc_internal.h | 187 +++++++------
stim/include/dpnc_process.h | 13
stim/src/dpnc_expect.c | 170 ++++++------
stim/src/dpnc_internal.c | 529 ++++++++++++++++++++-------------------
stim/src/dpnc_io.c | 4
stim/src/dpnc_process.c | 240 +++++++++--------
stim/src/nxg.c | 2
stim/src/ram_internal.c | 6
stim/stimgen.sh | 551 +++++++++++++++++++++++++++++++++++++----
118 files changed, 10330 insertions(+), 666 deletions(-)

0 件のコメント: