O HAI THIS BLOG PURPZIEZ 2 B UZED AZ MAH PLESIOUS MEM. :)

2006/07/31

tailor can be hg2svn?

hg2svnみたいなのが無いか,#mercurial@FreeNode.netで調査.
[Seeking the tool like "hg2svn" in #mercurial@FreeNode.net...]
7/31 20:28:04 hiyuh
uhm, no clue to use mercurial repo in code.google.com?
7/31 20:35:52 Habbie
not currently possible, as far as we know
7/31 20:36:05 xorAxAx
Habbie: whats not possible?
7/31 20:36:24 Habbie
hosting a mercurial repo on google code hosting
7/31 20:36:30 xorAxAx
ah
7/31 20:36:54 Habbie
http://code.google.com/p/branch/
7/31 20:38:03 xorAxAx
only bzr support
7/31 20:38:31 xorAxAx
ok, not really
7/31 20:38:33 Habbie
that will change
7/31 20:38:38 xorAxAx
http://branch.googlecode.com/svn/trunk/
7/31 20:38:39 Habbie
but this is just a project, not google itself :)
7/31 20:38:44 xorAxAx
ah
7/31 20:54:28 hiyuh
hmm, thanks. :(
7/31 20:54:34 hiyuh
then, how can I do like "local_hg_repo -> hg2svn -> google_svn_repo"? :)
7/31 21:10:48 Habbie
i'm sure tailor could do that
7/31 21:11:40 hiyuh
heh, I'll try that. thanks, Habbie.
で,dev-util/tailor発見.
~ppcでキーワードされていないケド,
「Pythonで書かれているっぽいし,動くだろ?」
と言う事でローカルなoverlayに~ppcにして持って来た.
動いたらキーワードリクエストを投げるとして,もうちょっと弄る.
暫し待たれよ. :P
[Uhm, dev-util/tailor has not been ~pp keyworded.
I though, "Well, it smells python-flavor, maybe it'll work."
If it works, I'll file it a keyword request, though.
Put it into my overlay with ~ppc sin.
Well, it's not commited yet. :P]

と言うか,もしかしてdev-utils/darcsってHaskellで書かれてる気配?
[BTW, dev-util/darcs is written in "the" Haskell?]

2006/07/29

My own Portage Overlay is?

コレ,勢いで作った. :P
その内,丸ごとcommitする.
が,なんでmercurialじゃないんだー. :(
[Now, it seems ready. :P
ATM, this repo was no commited, but I'll do.
BTW, why not mercurial... :(]

mutex-flavor FIFO, NomiKai

例のBorland C++ Builderなブツ.
子プロセス生成方法をCreateProcess()とfork(2)しか知らないので,
VCL+GDIなブツと統一感を持った状態を維持しつつ,
適当な複数のスレッドを一つの子プロセスに閉じ込められない事に気付いた.
方法を調べるのが面倒だし,なんか気に食わないので,
自前のmutexを仕込んだFIFOとSleep()で誤摩化しておいた. :P
[Smacked the Borland C++ Builder stuff.
I've only coded for creating child proc by CreateProcess()
or fork(2).
It means this low level system calls make VCL+GDI stuff
crap, IMHO.
So, I realized there is no clue to encapsulate sane threads
into one child proc, if no new stuff learning.
Then, I coded it w/ my stupid mutex-flavor FIFO to fake it. :P]

今日は早めに仕事を終わり,月末定例飲み会.
何故か手始めにボーリングを1ゲームだけやってから,
社長の隣でコーラをガブ飲みしていたら色々絡まれる.
「新人は遅刻しちゃダメ,早く来て会社で寝てろ」とか.
おいおい,それはイイのかー? :P
「MLとかbugzieを見てウケてると,
何時の間にか朝になっているんです」とか
ムニャムニャ言い訳をしておいた. ;)
[Today was NomiKai.
Played bowling, stuffed Cola where next seat of my boss.
Then, he said,
"You should have no morning tardiness, OK?
To snooze when the office time is better."
Well, is really better? :P
So, I outed like,
"Nah, ML and bugzie make me LOL,
and it makes shorter my sleeping time, thogh." ;)]

2006/07/27

BCB.karma--; mercurial.pv.minor++;

Borland C++ BuilderのAnsiStringが変態過ぎる.
何時もながらインテリセンス(?)が激しくウザい.
VCL+GDIでコードがイイ感じにスパゲティになる.
まー,しゃーねーなー. :P
[Borland C++ Builder has weird one, AnsiString.
Intelli-Sense(?) sucks.
VCL+GDI makes my code Spaghetti.
Ah, there're no clue. :P]

で,mercurialが0.9.1になりました. :)
[Yay, mercurial bumps to 0.9.1. :)]

2006/07/25

no more zombie, tracing NPTL?

今日は,dumbtcpdが複数のホストからのtelnet DoS攻撃で
zombie大量発生な感じにならない様にした. :P
7/21からのdiffstatはこんな感じ.
無駄にドキュメンテーションしている所為で遅い. :(
[Today, I've coded to make dumbtcpd has no zombie
procs by using telnet DoS attacking from sevral clients
at same time. :P
Here's its diffstat 7/21~.
Well, my stupid documentation makes slow progress. :(]
 README                          |    8 -
b/Makefile | 76 +++++++++++++++++
b/doc/Makefile | 48 +++++++++++
b/doc/api_dec/accept_dec.c | 4
b/doc/api_dec/bind_dec.c | 4
b/doc/api_dec/connect_dec.c | 4
b/doc/api_dec/getaddrinfo_dec.c | 22 +++++
b/doc/api_dec/listen_dec.c | 4
b/doc/api_dec/socket_dec.c | 4
b/doc/restudy_socket_progs.tex | 173 ++++++++++++++++++++++++++++++++++++++++
b/include/dumbtcpd_common.h | 30 ++++++
b/include/dumbtcpd_main.h | 12 ++
b/include/dumbtcpd_service.h | 9 ++
b/include/dumbtcpd_signal.h | 9 ++
b/include/dumbtcpd_socket.h | 12 ++
b/src/dumbtcpd_common.c | 93 +++++++++++++++++++++
b/src/dumbtcpd_main.c | 56 ++++++++++++
b/src/dumbtcpd_service.c | 20 ++++
b/src/dumbtcpd_signal.c | 94 +++++++++++++++++++++
b/src/dumbtcpd_socket.c | 131 ++++++++++++++++++++++++++++++
20 files changed, 809 insertions(+), 4 deletions(-)

一応,マルチプロセスな並行サーバなのだけれど,
無意味にマルチスレッドにしようかとNPTLなサンプルプログラムを漁るが,
流石になかなか見付からんなー. :(
と思ったら思わぬ収穫.
[ATM, that app is a multi-processing concurrent server,
of cource.
I'd like to make it multi-thread, so I want some simple
NPTL sample code snippets.
Umm, there are no good sample for me.
BTW, I found it, though.]

NPTL Trace Tool Project

おー,サンプルがすげー. :)
[Heh, this sample is quite curious for me. :)]

2006/07/24

ton of warnings, was mentioned by splint

うー,splint先生が厳し過ぎます.
CFLAGS="-O3 -Wall -Werror"で警告無しでもバカスカ怒られる. :(
日々,是精進也. :P
[Umm, splint so buzzes my stupid code.
That code has no warnings w/ CFLAGS="-O3 -Wall -Werror", though.
All I can do is to do code, anyway. :P]

2006/07/20

socket progs, WRT 10kLOC, poking pciutils

例の仕事の為に,madwifi-ngに関してサルベージしつつ,
socketプログラミングを復習中.
select(2)を使うとIPv4/IPv6両対応に出来るらしいが,
man 2 selectが理解不能也.
今回はスループットを測定するのが目的なので,
実際には全然関係無かったりする. :P
[I'm skiming about madwifi-ng and restudying socket progs.
By using select(2), it can support both IPv4/IPv6, I heard.
But "man 2 select" is so messy for me.
Well, this project's propose is measurement some throughput.
So, it's completely unrelated thigs, though. :P]

wmii MLの10kloc projectに関するスレッドが熱い.
まさかUriel(敬称略)の投稿でウケるとは夢想だにしなかったー. :D
[WRT 10kloc project thread in wmii ML got a bit of heat.
Hehe, it's really unexpected that a Uriel's post make me LOL. :D]

で,bug #141111
どうすっかなー? :/
[BTW, bug #141111.
Uhm, it's subtle... :/]

2006/07/18

Forgot yesterday is UmiNoHi, dwm

あー,海の日を忘れて,会社に逝って仕舞いました. :P
[Well, I went to the office yesterday, that's why I forgot UmiNoHi. :P]

まだ会社のカードキーを貰っていないので,中にも入れず,
三十分程「何かあったっけ?」と考えながら突っ立っていた.
で,宅急便の人が来て,月曜日着の荷物を届けに来たと言うので,
取り敢えず,ソイツを出社した証拠代わりに預かって,帰宅.
[So, I have no card-key for the office, that meant all I could do
is to stand around doing nothing and to have several Qs of what's
it going on.
Elapsed 30mins or so, delivery service man cames.
He said like that "this is due NLT today, though."
So, I received that packages as a evidence of I had been.
Then, returned home.]

今朝,出社してから昨日は海の日だったと気付く.
で,預かった荷物を総務の人に渡して
「昨日,間違えて出社したので,ついでに預かっておきました.」
と言った所,何故か大笑いされた. :P
[Today's moring, I saw tha calendar in the office.
Of cource, I realized yesterday is UmiNoHi.
Then, I brought that package to Coordination Division,
"Well, I've kept this package, because I came to here yesterday,
that's why I forgot UmiNoHi."
So, they were LOL. :P]

で,dwm
あー,やっぱり逝くトコまで逝ったかー. :D
[BTW, dwm is.
Aww, kinda like. :D]

編集:
おー,10kloc.orgになっとる. :D
[Heh, he got 10kloc.org as its domain. :D]

2006/07/14

133MHz, new project will be...

出来たー.133MHzで動いたー. :)
よくやった,自分. :P
[Wheee, it works with 133MHz synchronous clock. :)
echo "Good job" > /me. :P ]

で,新しいプロジェクトが始まりそうな予感.
Linuxなwireless中継マシンのプログラミングらしい.
あー,GNAP? :P
上司の方によると,きちんとそれに関してやるべき事が決まるまでは,
今までと同じ様なVHDLマクロでヒーヒー言わせると言う腹らしい.
流石に実務はスパルタで一味違うなー.
[Then, new project will be, programing for wireless Linux boxes.
Well, sound like GNAP? :P
My bosses would like to make me feel stuck with messy VHDL macros,
untill this project runs.
Hehe, it's definitely Spartan edu, unlike other ones!]

取り敢えず,一区切りついたので,土日はbugzieでも突っつくか,
ebuildをムニャるか,秘密のVHDLなレポジトリでモニョるかなー? :)
[Hmm, in this week end, I'd like to poke/stub the bugzie,
or play some ebuilds, otherwise crazy commit my secret VHDL repos? :)]

2006/07/11

Ugh, misunderstood the specs, silly me...

何だか例のマクロの内部レイテンシがちょっとだけ合わないので,
ボスに仕様を確認したら,どうも色々間違って解釈していたみたい... :(
結局,半日も方眼紙でタイムチャートのお絵描きをして内部カウントの
スキームとかを再考していた.
納期がやばいので,さっさと直さねば... :(
前の投稿で終わりそうと言っておきながら,ホントに終わるのか? :P
[Uhm, I realized my misunderstanding that macro's specs.
That's why I was getting a bit of screwing up some mismatchings
about internal latencies, was mentioned my VHDL gurus... :(
Finally, I've poke its timing chart half of today for re-construct
internal count scheme or so on, though.
Ugh, the deadline will come... So, I should fix it as soon as possible... :(
Hmm, cann't it be released? :P]

2006/07/07

131MHz, TDS, BK in VHDL #2

そろそろ例のマクロのコーディングに区切りが着くっぽい.
ムニャヘニャした結果,131MHzくらいで動きそうな感じ. :)
そんな今日のdiffstatはー.
[So, that macdo coding will finish.
I've poked it and tested, then it may work with 131MHz clock as maximum. :)
Here's today's diffstat!]

b/compile/DPNC_TOP.vhd | 204 +++++++++++++++++++++++++
vhdl/DPNC.vhd | 14 +
vhdl/IXSW_MOD.vhd | 6
vhdl/RCTRL_MOD.vhd | 391 +++++++++++++++++++++++++++----------------------
vhdl/WCTRL_MOD.vhd | 231 ++++++++++++++++------------
5 files changed, 574 insertions(+), 272 deletions(-)

ちょっと物足りないのは内部レイテンシを仕様に合わせる為に,
代入タイミングを変えたり,
非同期なゲート処理をprocess文に埋め込んだりしたから.
[Well, yeah, it got under 1kSLOC.
That's why, today's work is not code stuff,
I've fixed by changing some signal substitute schem and/or
make async-flavor logics embed into process statements for internal latencies issue.]

更に今日悟った事は,
次のP_CASEとP_IFプロセスは全然違うブツだと言う事.
[Then, I realized these process, P_CASE and P_IF are completely different scheme.]

P_CASE : process (ixRST, iCLK)
begin
if (ixRST = '0') then
oDECODE <= (others => '0');
elsif (iCLK'event and iCLK = '1') then
case iMODE is
when cMODE1 =>
oDECODE <= cCODE1;
when cMODE2 =>
oDECODE <= cCODE2;
:
:
when others =>
oDECODE <= cCODE_INVALID;
end case;
end if;
end process;
:
:
P_IF : process (ixRST, iCLK)
begin
if (ixRST = '0') then
oDECODE <= (others => '0');
elsif (iCLK'event and iCLK = '1') then
if (iMODE = cMODE1) then
oDECODE <= cCODE1;
elsif (iMODE = cMODE2) then
oDECODE <= cCODE2;
:
:
else
oDCODE <= cCODE_INVALID;
end if;
end if;
end process;

2006/07/06

Bugzie is a bit of..., TDS

何だか最近の本家のbugzillaが遅い.
DBがロックするからとか何とか.
今日は"Please stand by..."とまで表示する始末.
なに,まじめに仕事しろって? :P
[Uhm, bugzie is getting slow, though.
Cuz DB locking or so on, I heard.
Today, I've seen that bugzie displayed "Please stand by...".
Um? Should I work more harder? :P]

で,この前から今日までのdiffstat.
[These are my diffstat 7/4 ~ today.]
 a/stim/dat/16QAM_1of2        |   80 --------
a/stim/dat/16QAM_3of4 | 80 --------
a/stim/dat/64QAM_2of3 | 80 --------
a/stim/dat/64QAM_3of4 | 80 --------
a/stim/dat/BPSK_1of2 | 80 --------
a/stim/dat/BPSK_3of4 | 80 --------
a/stim/dat/QPSK_1of2 | 80 --------
a/stim/dat/QPSK_3of4 | 80 --------
b/bench/BENCH_DPNC.vhd | 327 +++++++++++++++++++++++++++++++++
b/stim/Makefile.ghdl | 118 ++++++++++++
b/stim/dat/16QAM_1of2.txt.in | 80 ++++++++
b/stim/dat/16QAM_3of4.txt.in | 80 ++++++++
b/stim/dat/64QAM_2of3.txt.in | 80 ++++++++
b/stim/dat/64QAM_3of4.txt.in | 80 ++++++++
b/stim/dat/BPSK_1of2.txt.in | 80 ++++++++
b/stim/dat/BPSK_3of4.txt.in | 80 ++++++++
b/stim/dat/DPNC_STIM1.txt | 81 ++++++++
b/stim/dat/DPNC_STIM1.vhd | 136 ++++++++++++++
b/stim/dat/DPNC_STIM2.txt | 81 ++++++++
b/stim/dat/DPNC_STIM2.vhd | 136 ++++++++++++++
b/stim/dat/DPNC_STIM3.txt | 81 ++++++++
b/stim/dat/DPNC_STIM3.vhd | 136 ++++++++++++++
b/stim/dat/DPNC_STIM4.txt | 81 ++++++++
b/stim/dat/DPNC_STIM4.vhd | 136 ++++++++++++++
b/stim/dat/DPNC_STIM5.txt | 81 ++++++++
b/stim/dat/DPNC_STIM5.vhd | 136 ++++++++++++++
b/stim/dat/DPNC_STIM6.txt | 81 ++++++++
b/stim/dat/DPNC_STIM6.vhd | 136 ++++++++++++++
b/stim/dat/DPNC_STIM7.txt | 81 ++++++++
b/stim/dat/DPNC_STIM7.vhd | 136 ++++++++++++++
b/stim/dat/DPNC_STIM8.txt | 81 ++++++++
b/stim/dat/DPNC_STIM8.vhd | 136 ++++++++++++++
b/stim/dat/QPSK_1of2.txt.in | 80 ++++++++
b/stim/dat/QPSK_3of4.txt.in | 80 ++++++++
b/vhdl/DPNC.vhd | 354 ++++++++++++++++++++++++++++++++++++
b/vhdl/IXSW_MOD.vhd | 243 +++++++++++++++++++++++++
b/vhdl/RCTRL_MOD.vhd | 370 ++++++++++++++++++++++++++++++++++++++
b/vhdl/WCTRL_MOD.vhd | 300 +++++++++++++++++++++++++++++++
b/vhdl/XSW_MOD.vhd | 195 ++++++++++++++++++++
b/vhdl/common/DELAYRAM.vhd | 93 +++++++++
stim/Makefile.gcc | 20 +-
stim/include/dpnc_internal.h | 67 +++---
stim/include/dpnc_process.h | 9
stim/ref/Makefile | 4
stim/ref/tex/DPNC_memo.tex | 10 -
stim/src/dpnc_expect.c | 18 -
stim/src/dpnc_internal.c | 415 ++++++++++++++++++-------------------------
stim/src/dpnc_process.c | 63 +++---
stim/stimgen.sh | 56 ++++-
49 files changed, 4698 insertions(+), 980 deletions(-)